Search found 35 matches

by squall926
Tue Oct 31, 2023 12:36 pm
Forum: SNESdev
Topic: Using SNES Mode 5
Replies: 13
Views: 2774

Re: Using SNES Mode 5

Im using two tileset, A = blank+letter, B = Letter+blank. (posX * 0x20 + posY) is just to posicion., +/-1 removed, 0x2133 interlaced removed, If i writte just, BGA or BGB the letter is ok, with 8 char on 16 space, but when write BGA+BGB the letter is unreadabled. extern unsigned char tiles1[]; exter...
by squall926
Mon Oct 30, 2023 10:45 am
Forum: SNESdev
Topic: Using SNES Mode 5
Replies: 13
Views: 2774

Re: Using SNES Mode 5

Follow in anexo. void writestring5(unsigned char *st, unsigned int *mapA, unsigned int *mapB, unsigned int p, unsigned int x){ unsigned int sp = p; /* start position */ unsigned int c; unsigned char inv = 0, var=0; while ((c = *st)) { if (c == '\n') { sp += 0x20; p = sp; } else { if(var==0){ var++; ...
by squall926
Sun Oct 29, 2023 11:07 am
Forum: SNESdev
Topic: Using SNES Mode 5
Replies: 13
Views: 2774

Re: Using SNES Mode 5

I did this, sorry this image is cropped. I put 'A' on tilemapA and 'B' on tilemapB both 8x8 so 16x8 in the end.
The problem is that the letters are horrible to read. I asked me how sd2snes can got so nice letters on screen using mode 3 + hdma mode 5. My asm is unnusable, i do my stuffs in C.
by squall926
Fri Oct 27, 2023 12:22 pm
Forum: SNESdev
Topic: Using SNES Mode 5
Replies: 13
Views: 2774

Re: Using SNES Mode 5

Can you explain a little more how we can use an 8x8 font in mode 5, or what the font for mode 5 should look like?
My attempt.
8x8 bg1 and bg2
8x8 bg1 and bg2
by squall926
Sat Mar 18, 2023 8:36 am
Forum: NESemdev
Topic: VRC4 in VHDL
Replies: 1
Views: 682

VRC4 in VHDL

Hello, I need some help to understands the mirror from VRC4(23) mapper. ... ... mirror <= cpu_data_i(1 downto 0); -- 9xxx ... ... ciram_ce <= not ppu_addr_i(13); -- Try One, best result at now. with mirror select ciram_a10 <= ppu_addr_i(10) when "00", -- Vertical Mirror ppu_addr_i(11) when...
by squall926
Thu Apr 15, 2021 6:13 am
Forum: NES Hardware and Flash Equipment
Topic: Sunsoft FME-7 VHDL
Replies: 10
Views: 4741

Re: Sunsoft FME-7 VHDL

Tried batman Japan, got same blackcreen. no garbage, nothing. About irq, workking on it, but at now, cannot fit. trying do a better code. tryed too calc A15. Nothing change on screen. process(M2) begin if(rising_edge(M2)) then if(romsel = '0') then cpu_a15 <= '1'; else cpu_a15 <= '0'; end if; end if...
by squall926
Tue Apr 13, 2021 3:14 am
Forum: NES Hardware and Flash Equipment
Topic: Sunsoft FME-7 VHDL
Replies: 10
Views: 4741

Re: Sunsoft FME-7 VHDL

I got just a Black screen, nothing more. To night ill test a Batman, and impl. The irq. The mmc3 without irq running Mario work, só i think this work too,, but no 100% right about. :lol: About no romsel on romce decode, its on Second line else. On First line used without because need enable on $6000...
by squall926
Sun Apr 11, 2021 8:53 pm
Forum: NES Hardware and Flash Equipment
Topic: Sunsoft FME-7 VHDL
Replies: 10
Views: 4741

Re: Sunsoft FME-7 VHDL

entity Sunsoft is Port ( M2 : in STD_LOGIC; romsel : in std_logic; -- CPU in cpu_rw_i : in STD_LOGIC; cpu_addr_i : in STD_LOGIC_VECTOR(14 DOWNTO 0); cpu_data_i : in STD_LOGIC_VECTOR(7 DOWNTO 0); -- CPU out cpu_addr_o : out STD_LOGIC_VECTOR(18 downto 13); cpu_wr_o : out STD_LOGIC; cpu_rd_o : out STD...
by squall926
Sun Apr 11, 2021 8:29 pm
Forum: NES Hardware and Flash Equipment
Topic: Brainstorming using Microcontroller as mapper
Replies: 18
Views: 19679

Re: Brainstorming using Microcontroller as mapper

I tried a STM32H750(1mB ram) running at 400Mhz to emulate a sms cart, but without luck.
On stm32f417(192kB ram) running at 168Mhz worked.
by squall926
Sun Apr 11, 2021 8:19 pm
Forum: NES Hardware and Flash Equipment
Topic: Sunsoft FME-7 VHDL
Replies: 10
Views: 4741

Re: Sunsoft FME-7 VHDL

oh, sorry, i was doing tests and i didn’t go back to rising_edge.
The cpu_rom_ce wire and cpu_addr_out program banks is right?
I'm not sure if I calculated the A15 correctly.
the cpld is a Xl95144xl
The game tested is Mr. Gimmick.
by squall926
Sun Apr 11, 2021 7:52 pm
Forum: NES Hardware and Flash Equipment
Topic: Sunsoft FME-7 VHDL
Replies: 10
Views: 4741

Sunsoft FME-7 VHDL

Hello! I build a simple nes cart to run some mappers. Alread make MMC1, MMC3, VRC2b, but MMC2 and Sunsoft FME-7 it's hard. Can someone help me to identify where I can go wrong? I didn't do the IRQ part. The code above is from Sunsoft mapper 69 without sound. -----------------------------------------...
by squall926
Sat Jan 30, 2021 4:49 pm
Forum: NES Hardware and Flash Equipment
Topic: Simple MMC3 CPLD not working = (( Need your help!
Replies: 12
Views: 9594

Re: Simple MMC3 CPLD not working = (( Need your help!

Hello!
did you got some luck?
I did one and this work. but with some small glitch.
by squall926
Wed Sep 30, 2020 5:33 pm
Forum: SNESdev
Topic: Reset console from code in ram
Replies: 2
Views: 4161

Re: Reset console from code in ram

Thanks, I suspected it could be the bank, but I don't know for sure, so after your answer I set the target pointer of the buffer to 0x006000 and changed it to fffc according to your tip, and it worked.
Thank you!!!
by squall926
Wed Sep 30, 2020 7:02 am
Forum: SNESdev
Topic: Reset console from code in ram
Replies: 2
Views: 4161

Reset console from code in ram

Hi! I'm trying to do a software reset and testing the code below on PVSNESLIB. typedef unsigned char (*pFuncType)(void); pFuncType pFunc; const unsigned char reset_snes[] = { 0x78, // sei code 78 - Disable int 0xE2, 0x30, // sep #$30 code E2 - Reset Processor Status Bits. 0x38, // sec code 38 - Set ...
by squall926
Thu Feb 13, 2020 12:41 pm
Forum: SNESdev
Topic: Jump exection code to RAM
Replies: 6
Views: 4581

Re: Jump exection code to RAM

I got a cart with 4MB sram and a slow mcu(avr128). So when i need program the memory i block access on chips, and menu crash and after finish i do hw reset. I want copy a block code to internal ram and jump to it, so this way the menu keep work, and if possible, keep updating the bus with info about...